Ayala, Jose L.Atienza, DavidRaghavan, PraveenLopez-Vallejo, MarisaCatthoor, FranckyVerkest, Diederik2009-01-032009-01-032009-01-03200710.1504/IJES.2007.016035https://infoscience.epfl.ch/handle/20.500.14299/33087Tomorrow's embedded devices need to run multimedia applications demanding high computational power with low energy consumption constraints. In this context, the register file is a key source of power consumption and its inappropriate design and management severely affects system power. In this paper, we present a new approach to reduce the energy of shared register files in forthcoming embedded VLIW processors running real-life applications up to 60% without performance penalty. This approach relies on limited hardware extensions and a compiler-based energy-aware register assignment algorithm to deactivate at run-time parts of the register file (i.e., sub-banks) in an independent way.shared register fileslow-power optimizationscompilerVLIW processorsembedded systemshardware designenergy-aware register assignmentEnergy-Aware Compilation and Hardware Design for VLIW Embedded Systemstext::journal::journal article::research article