Xu, HuPavlidis, VasileiosDe Micheli, Giovanni2012-01-092012-01-092012-01-09201110.1109/ISCAS.2011.5938042https://infoscience.epfl.ch/handle/20.500.14299/76425WOS:000297265302137The effect of process variations on the clock skew in three dimensional (3-D) circuits with multiple clock domains is investigated. In 3-D ICs, the combined effect of inter-die and intra-die process variations should be considered in the design of clock distribution networks. A statistical clock skew model incorporating spatially correlated intra-die process variations is employed to describe this effect. The clock skew is shown to change in different ways with the allocation of the clock domains within the 3-D circuit. Various schemes to assign the clock domains are investigated. Different scenarios of inter-die and intra-die process variations and an intra-die spatial correlation model are applied to these schemes. An approach where each physical plane corresponds to a single clock domain is shown to be inferior to other clocking schemes for specific variation scenarios. Tradeoffs between the number of clock domains within a physical plane and the number of planes a clock tree spans are discussed and related design guidelines are offered.en3-D ICsclock treeprocess variationsclock skewmultiple clockSkew Variability in 3-D ICs with Multiple Clock Domainstext::conference output::conference proceedings::conference paper