Cevrero, S.Regazzoni, F.Schwander, M.Badel, S.Ienne, P.Leblebici, Y.2011-11-012011-11-012011-11-01201110.1145/2024724.2024947https://infoscience.epfl.ch/handle/20.500.14299/72148WOS:000297360000181MOS Current Mode Logic (MCML) is one of the most promising logic style to counteract power analysis attacks. Unfortunately, the static power consumption of MCML standard cells is significantly higher compared to equivalent functions implemented using static CMOS logic. As a result, the use of such a logic style is very limited in portable devices. Paradoxically, these devices are the most sensitive to physical attacks, thus the ones which would benefit more from the adoption of MCML.enSecurityDpaCurrent Mode LogicSide Channel AttacksPower-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell librarytext::conference output::conference proceedings::conference paper