Bobba, ShasikanthDe Micheli, Giovanni2015-10-292015-10-292015-10-29201510.1109/TVLSI.2014.2358884https://infoscience.epfl.ch/handle/20.500.14299/120169WOS:000364208500012As we advance into the era of nanotechnology, semiconductor devices are scaled down to their physical limits, thereby opening up venues for new transistor channel materials based on nanowires and nanotubes. Transistors based on nanowires and nanotubes inherently exhibit ambipolar behavior. While technologists aim to suppress ambipolar behavior of these transistors, new design methodologies are proposed by exploiting the phenomenon of controllable polarity. In this paper, we propose regular layout fabrics, with an emphasis on silicon nanowires (SiNWs) as the candidate technology. A double-gate ambipolar SiNW field-effect transistor operates as p-type or n-type by electrically controlling the polarity of the second gate. We propose layout techniques to address gate-level routing congestion, as every transistor has two gates to route. Novel symbolic layouts, which are technology independent, are proposed for ambipolar circuits. In the second part of this paper, we present an approach for designing an efficient regular layout called sea-of-tiles (SoTs). A logic tile is essentially an array of prefabricated transistor-pairs grouped together. We design four logic tiles, which form the basic building block of the SoT fabric. We run extensive comparisons of mapping standard benchmarks onto the SoT fabric to find the optimum tile. This paper shows that SoT with Tile<sub>G2</sub> and Tile<sub>G1h2</sub>, on an average, outperforms the one with Tile<sub>G1</sub> by 16% and 14% in area utilization, respectively.ambipolardouble gate (DG)layoutnanowirephysical designtilesLayout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecturetext::journal::journal article::research article