Lallement, ChristophePêcheux, FrançoisVachoux, AlainPrégaldiny, Fabien2006-02-102006-02-102006-02-10200610.1007/1-4020-4556-5_9https://infoscience.epfl.ch/handle/20.500.14299/222367In this chapter, we present the capabilities of the VHDL-AMS hardware description language for developing compact models. After a brief description of the VHDL-AMS language, we present two meaningful case studies on design oriented models of MOSFET. The first study focuses on the EKV v2.6 MOSFET model and takes into account the thermo-electrical interaction and the extrinsic aspects. The EKV v2.6 model uses linearization with respect to surface potential, resulting in physically well-based expressions for the whole model. The second study is a simplified version of the MM11 Philips model that takes into account the quantum mechanical effects. MM11 is a compact MOSFET model based on the formulation of the surface potential.Hardware description languageVHDL-AMSCompact modelingMOSFET modelThermo-electrical interactionsQuantum effectsEKVMM11Compact Modeling of MOSFET in VHDL-AMStext::book/monograph::book part or chapter